臺積電北美技術論壇聚焦AI 魏哲家秀8大新技術

臺積電總裁魏哲家(圖/報系資料照)

晶圓代工龍頭臺積電(2330)於美國當地時間24日舉行2024年北美技術論壇。會中揭示其最新的製程技術、先進封裝技術、以及三維積體電路(3D IC)技術,憑藉此領先的半導體技術來驅動下一世代人工智慧(AI)的創新。

A16製程節點首度揭示。臺積電首度發表TSMC A16技術,結合領先的奈米片電晶體及創新的背面電軌(backside power rail)解決方案以大幅提升邏輯密度及效能,預計於2026年量產;另外,亦推出系統級晶圓(TSMC-SoW)技術,帶來革命性的晶圓級效能優勢,滿足超大規模資料中心未來對AI的要求。

2024年適逢臺積電北美技術論壇舉辦30週年,出席貴賓人數從30年前不到100位,增加至今年已超過2,000位。北美技術論壇於美國加州聖塔克拉拉市舉行,爲接下來幾個月陸續登場的全球技術論壇揭開序幕。

臺積電總裁魏哲家博士表示:「我們身處AI賦能的世界,人工智慧功能不僅建置於資料中心,而且也內建於個人電腦、行動裝置、汽車、甚至物聯網之中。」

技術論壇揭示的新技術包括TSMC A16、臺積公司創新的NanoFlex技術支援奈米片電晶體、N4C技術、CoWoS、系統整合晶片、以及系統級晶圓(TSMC-SoW)、矽光子整合和車用先進封裝。

其中,A16製程節點,是隨着領先業界的N3E技術進入量產及N2技術預計於2025年下半年量產。臺積電在其技術藍圖上推出全新技術A16。A16將結合臺積公司的超級電軌(Super Power Rail)架構與奈米片電晶體,預計於2026年量產。

超級電軌技術將供電網路移到晶圓背面而在晶圓正面釋出更多訊號網路的佈局空間,藉以提升邏輯密度和效能,讓A16適用於具有複雜訊號佈線及密集供電網路的高效能運算(HPC)產品。相較於臺積公司的N2P製程,A16在相同Vdd (工作電壓)下,速度增快8~10%,在相同速度下,功耗降低15~20%,晶片密度提升高達1.10倍,以支援資料中心產品。

魏哲家強調,臺積電爲客戶提供最完備的技術,從全世界最先進的矽晶片,到最廣泛的先進封裝組合與3D IC平臺,再到串連數位世界與現實世界的特殊製程技術,以實現客戶對AI的願景。