High-NA EUV光刻機價值3.8億美元,ASML已收到10至20臺訂單

去年末,ASML向英特爾交付了業界首臺High-NA EUV光刻機。新設備的體積非常巨大,需要使用13個集裝箱和250個板條箱來進行運輸,將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,另外還需要250名工程師並花費6個月完成安裝。

據相關媒體報道,ASML透露其一臺High-NA EUV光刻機的價格大概爲3.8億美元,是現有EUV光刻機(約1.83億美元)的兩倍多。目前ASML已從英特爾和SK海力士等公司獲得了High-NA EUV光刻機的訂單,數量在10至20臺之間。與此同時,ASML計劃到2028年,每年生產20臺High-NA EUV光刻機,以滿足市場的需求。

High-NA EUV光刻機是具有高數值孔徑和每小時生產超過200片晶圓的極紫外光大批量生產系統,用於製造3nm以下的芯片。其提供了0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高分辨率的圖案化,以實現更小的晶體管特徵。

由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造。英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味着大概在2026年至2027年之間開始啓用新設備。臺積電(TSMC)要等到1nm級的A10工藝纔會使用High-NA EUV光刻機,可能是出於對成本的考慮,也就是說要等到2030年左右。

編 輯:章芳