5nm製程奈米壓印設備售價僅EUV的10% 能否出口中國有答案了

佳能公司設計製造的奈米壓印技術設備引起半導體業界的關注,原認爲可能繞過美國對中國晶片技術管制,讓中國晶片業進入5nm製程。(圖/佳能公司)

由佳能公司設計製造、號稱可以進行5nm製程的奈米壓印技術設備,一度引起半導體業界的關注,尤其是這項技術可能繞過中國晶片技術管制並取代艾斯摩(ASML)極紫外線光刻機(EUV),更是引起中國半導體業界者高度興趣。佳能CEO三井藤夫對此表示,這項具有5nm製程能力的奈米壓印技術與設備無法超越EUV光刻機,也無法賣到中國。

據《芯智訊》報導,佳能公司正計劃將其新的基於奈米壓印技術的晶片製造設備的價格定爲ASML的EUV光刻機的1/10。由於該設備可以用於製造5nm尖端製程晶片,一度被認爲有可能成爲中國繞過美國限制來製造尖端製程晶片的可行方案。

總部位於荷蘭的ASML是全球最大、也是唯一的極紫外光刻設備供應商。目前在光刻機市場還有尼康和佳能這2大供應商,但是,最尖端的EUV光刻機仍被ASML壟斷,同時其出口對象也受到美國政府法規的管制審查。今年6月30日,荷蘭政府正式出臺新的半導體出口管制措施,ASML被禁止向中國客戶出口EUV系統以及先進的浸沒式DUV系統。

今年10月中旬,佳能公司宣佈開始銷售基於奈米壓印(Nanoprinted lithography,NIL)技術的晶片生產設備FPA-1200NZ2C。該設備採用不同於複雜的傳統光刻技術的方案,而是直接通過壓印形成圖案,可以用來製造5nm晶片。其製造速度雖然比傳統光刻方式慢,但是可以大幅減少耗能並降低設備成本。

佳能公司CEO三井藤夫表示,奈米壓印技術將爲小型半導體制造商生產先進晶片開闢一條道路,設備價格很低,大約只要EUV光刻機的10%。(圖/佳能公司)

佳能CEO三井藤夫表示,這項新的奈米壓印技術將爲小型半導體制造商生產先進晶片開闢一條道路,設備價格也會低得多,大約只要EUV光刻機的10%。晶片製造商也能降低對EUV光刻機的依賴,晶圓代工廠可以有第2條技術路線可以選擇,可以更靈活的爲客戶生產小批量晶片。

佳能CEO三田井夫表示,他的理解是,任何超過14nm技術的出口都是被管制的,所以佳能無法銷售奈米壓印設備給中國。(圖/芯智訊)

報導說,由於受到美國及荷蘭對於先進半導體設備的出口管制影響,中國半導體業對於佳能奈米壓印技術製造設備充滿興趣,認爲這可能會是一條能夠繞過美國限制製造更先進製程晶片的路徑。

雖然在今年7月日本實施新的半導體出口管制,限制先進製程的浸沒式光刻機出口,似乎並未限制奈米壓印技術設備出口。但是事實上,在日本的出口管制清單中仍明確限制「可實現45nm以下線寬的壓印光刻裝置」。

佳能CEO三井藤夫也在最新的採訪中表示,佳能可能無法將這些(奈米壓印技術)晶片製造設備出口到中國,「我的理解是,任何超過14nm技術的出口都是被禁止的,所以我認爲我們無法銷售。」